G. Ndour, Evaluation of approximate operators case study: sobel filter application executed on an approximate RISC-V platform, Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, pp.146-149, 2018.
URL : https://hal.archives-ouvertes.fr/hal-02055464

G. Ndour, Evaluation of Variable Bit-width Units in a RISC-V Processor for Approximate Computing, Proceedings of the 5th Workshop on design of Low Power EMbedded Systems (LP-EMS) Co-located with International Conference on Computing Frontiers, 2019.
URL : https://hal.archives-ouvertes.fr/hal-02152410

T. Trevisan, ApproxRISC: An Approximate Computing Infrastructure For RISC-V, 2018.
URL : https://hal.archives-ouvertes.fr/cea-01893469

A. Agrawal, Approximate computing: Challenges and opportunities, 2016 IEEE International Conference on Rebooting Computing (ICRC), pp.1-8, 2016.

M. Gene and . Amdahl, Validity of the single processor approach to achieving large scale computing capabilities, Proceedings of the, pp.483-485, 1967.

J. Ansel, Language and compiler support for auto-tuning variableaccuracy algorithms, International Symposium on Code Generation and Optimization, pp.85-96, 2011.

J. Ansel, Siblingrivalry: online autotuning through local competitions, Proceedings of the 2012 international conference on Compilers, architectures and synthesis for embedded systems, pp.91-100, 2012.

A. Avizienis, Design of fault-tolerant computers, AFIPS Fall Joint Computing Conference, pp.733-743, 1967.

W. Baek, M. Trishul, and . Chilimbi, Green: a framework for supporting energy-conscious programming using controlled approximation, ACM Sigplan Notices, vol.45, pp.198-209, 2010.

B. Barrois, Methods to evaluate accuracy-energy trade-off in operatorlevel approximate computing, 2017.
URL : https://hal.archives-ouvertes.fr/tel-01753390

C. Bienia and K. Li, Benchmarking modern multiprocessors, 2011.

C. Bienia, The PARSEC benchmark suite: Characterization and architectural implications, Proceedings of the 17th international conference on Parallel architectures and compilation techniques, pp.72-81, 2008.

J. Bonnot, K. Desnos, and D. Menard, Fast Simulation-Based Fixed-point Refinement with Inferential Statistics, 2019 Design Automation Conference, 2019.

J. Bonnot, E. Nogues, and D. Menard, New non-uniform segmentation technique for software function evaluation, Application-specific Systems, Architectures and Processors (ASAP), 2016 IEEE 27th International Conference on, pp.131-138, 2016.
URL : https://hal.archives-ouvertes.fr/hal-01479171

N. Brisebarre, J. Muller, and A. Tisserand, Computing machine-efficient polynomial approximations, ACM Transactions on Mathematical Software (TOMS), vol.32, issue.2, pp.236-256, 2006.
URL : https://hal.archives-ouvertes.fr/ensl-00086826

A. Chandrasekharan, D. Große, and R. Drechsler, ProACt: A Processor for High Performance On-demand Approximate Computing, Proceedings of the on Great Lakes Symposium on VLSI 2017, pp.463-466, 2017.

M. Sylvain-chevillard, C. Jolde?, and . Lauter, Sollya: An environment for the development of numerical codes, International Congress on Mathematical Software, pp.28-31, 2010.

K. Vinay and . Chippa, Scalable effort hardware design: Exploiting algorithmic resilience for energy efficiency, Proceedings of the 47th Design Automation Conference, pp.555-560, 2010.

J. Clemons, MEVBench: A mobile computer vision benchmarking suite, Workload Characterization (IISWC), pp.91-102, 2011.

H. Esmaeilzadeh, Neural acceleration for general-purpose approximate programs, Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture, pp.449-460, 2012.

P. Hans and F. , A note on the mean absolute scaled error, International Journal of Forecasting, vol.32, pp.20-22, 2016.

J. George, Probabilistic arithmetic and energy efficient embedded signal processing, Proceedings of the 2006 international conference on Compilers, architecture and synthesis for embedded systems, pp.158-168, 2006.

M. Golub, D. Jakobovi?, and L. Budin, Genetic algorithms in real-time imprecise computing, Journal of computing and information technology, vol.8, pp.249-257, 2000.

P. Goodwin and R. Lawton, On the asymmetry of the symmetric MAPE, International journal of forecasting, vol.15, pp.405-408, 1999.

B. Grigorian and G. Reinman, Accelerating divergent applications on simd architectures using neural networks, ACM Transactions on Architecture and Code Optimization (TACO), vol.12, p.2, 2015.

M. Hähnel and H. Härtig, Heterogeneity by the Numbers: A Study of the {ODROID} XU+ E big. LITTLE Platform, 6th Workshop on Power-Aware Computing and Systems, 2014.

S. Hashemi, S. Bahar, and . Reda, DRUM: A dynamic range unbiased multiplier for approximate applications, Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pp.418-425, 2015.

H. Hoffmann, JouleGuard: energy guarantees for approximate applications, Proceedings of the 25th Symposium on Operating Systems Principles, pp.198-214, 2015.

, International Roadmap for Devices and Systems, Executive Summary, tech. rep, 2017.

B. Andrew, S. Kahng, and . Kang, Accuracy-configurable adder for approximate arithmetic designs, Design Automation Conference (DAC), pp.820-825, 2012.

S. Daya and . Khudia, Rumba: An online quality management system for approximate computing, Computer Architecture (ISCA), 2015 ACM/IEEE 42nd Annual International Symposium on, pp.554-566, 2015.

W. L. Khaing-yin-kyaw, K. Goh, and . Yeo, Low-power highspeed multiplier for error-tolerant application, Electron Devices and SolidState Circuits (EDSSC), 2010 IEEE International Conference of, pp.1-4, 2010.

C. Lee, M. Potkonjak, and W. Smith, MediaBench: a tool for evaluating and synthesizing multimedia and communicatons systems, Proceedings of the 30th annual ACM/IEEE international symposium on Microarchitecture, pp.330-335, 1997.

D. Lee, Hierarchical segmentation for hardware function evaluation, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.17, pp.103-116, 2009.

I. Lee and K. Lee, The Internet of Things (IoT): Applications, investments, and challenges for enterprises, vol.58, pp.431-440, 2015.

M. Li, The ALPBench benchmark suite for complex multimedia applications, Workload Characterization Symposium, pp.34-45, 2005.

A. Lingamneni, Energy parsimonious circuit design through probabilistic pruning, pp.1-6, 2011.

W. S. Jane and . Liu, Imprecise computations, Proceedings of the IEEE, vol.82, pp.83-94, 1994.

S. Lu, Speeding up processing with approximation circuits, pp.67-73, 2004.

D. Mahajan, Prediction-based quality control for approximate accelerators, Workshop on Approximate Computing Across the System Stack, 2015.

C. Mazenc, X. Merrheim, and J. Muller, Computing Functions cos/sup-1/and sin/sup-1/Using CORDIC, IEEE Transactions on Computers, vol.42, pp.118-122, 1993.

D. Menard, Automatic floating-point to fixed-point conversion for DSP code generation, Proceedings of the 2002 international conference on Compilers, architecture, and synthesis for embedded systems, pp.270-276, 2002.
URL : https://hal.archives-ouvertes.fr/inria-00482916

J. F. Meyer, On evaluating the performability of degradable computing systems, IEEE Transactions on computers, vol.8, pp.720-731, 1980.

J. E. Miller, Graphite: A distributed parallel simulator for multicores, HPCA-16 2010 The Sixteenth International Symposium on HighPerformance Computer Architecture, pp.1-12, 2010.

S. Mittal, A survey of techniques for approximate computing, ACM Computing Surveys (CSUR), vol.48, p.62, 2016.

D. Molka, Characterizing the energy consumption of data transfers and arithmetic operations on x86-64 processors, International, pp.123-133, 2010.

T. Moreau, Approximate computing on programmable socs via neural acceleration, tech. rep, 2014.

J. Muller, Handbook of Floating-Point Arithmetic, pp.978-978, 2010.
URL : https://hal.archives-ouvertes.fr/ensl-00379167

R. Narayanan, Minebench: A benchmark suite for data mining workloads, IEEE International Symposium on, pp.182-188, 2006.

G. Ndour, Evaluation of approximate operators case study: sobel filter application executed on an approximate RISC-V platform, Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, pp.146-149, 2018.
URL : https://hal.archives-ouvertes.fr/hal-02055464

G. Ndour, Evaluation of Variable Bit-width Units in a RISC-V Processor for Approximate Computing, Proceedings of the 5th Workshop on design of Low Power EMbedded Systems (LP-EMS) Co-located with International Conference on Computing Frontiers, 2019.
URL : https://hal.archives-ouvertes.fr/hal-02152410

J. Oblonsky, A self-correcting computer, Digital Information Processors, Interscience, pp.533-542, 1962.

D. Pagliari, A methodology for the design of dynamic accuracy operators by runtime back bias, 2017 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.1165-1170, 2017.
URL : https://hal.archives-ouvertes.fr/cea-02195002

K. Palem and A. Lingamneni, Ten years of building broken chips: The physics and engineering of inexact computing, ACM Transactions on Embedded Computing Systems (TECS), vol.12, p.87, 2013.

V. Krishna and . Palem, Energy aware algorithm design via probabilistic computing: from algorithms and models to Moore's law and novel (semiconductor) devices, CASES, Citeseer, pp.113-116, 2003.

V. Krishna and . Palem, Energy aware computing through probabilistic switching: A study of limits, IEEE Transactions on Computers, vol.54, pp.1123-1137, 2005.

D. Karthick-nagaraj-parashar, O. Menard, and . Sentieys, Accelerated performance evaluation of fixed-point systems with un-smooth operations, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.33, pp.599-612, 2014.

K. Parasyris, A Significance-Aware Software Stack for Computing on Unreliable Hardware, p.28

J. Park, Expax: A framework for automating approximate programming, tech. rep, 2014.

J. Park, Flexjava: Language support for safe and modular approximate programming, Proceedings of the 2015 10th Joint Meeting on Foundations of Software Engineering, pp.745-757, 2015.

A. Raha, Quality configurable reduce-and-rank for energy efficient approximate computing, Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, EDA Consortium, pp.665-670, 2015.

A. Rahimi, Approximate associative memristive memory for energyefficient GPUs, Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, EDA Consortium, pp.1497-1502, 2015.

D. A. Rennels, Fault-Tolerant Computing? Concepts and Examples, IEEE Transactions on computers, vol.12, pp.1116-1129, 1984.

P. Roy, Asac: Automatic sensitivity analysis for approximate computing, ACM SIGPLAN Notices, vol.49, pp.95-104, 2014.

A. Sampson, Accept: A programmer-guided compiler framework for practical approximate computing, 2015.

A. Sampson, EnerJ: Approximate data types for safe and general low-power computation, ACM SIGPLAN Notices, vol.46, pp.164-174, 2011.

R. Naresh and . Shanbhag, Stochastic computation, Design Automation Conference (DAC), pp.859-864, 2010.

Q. Shi, H. Omar, and O. Khan, Exploiting the tradeoff between program accuracy and soft-error resiliency overhead for machine learning workloads, 2017.

S. Sidiroglou-douskos, Managing performance vs. accuracy tradeoffs with loop perforation, Proceedings of the 19th ACM SIGSOFT symposium and the 13th European conference on Foundations of software engineering, pp.124-134, 2011.

R. Sivakumar and . Nedumaran, Comparative study of speckle noise reduction of ultrasound b-scan images in matrix laboratory environment, International Journal of Computer Applications, vol.10, pp.46-50, 2010.

M. Andrew and . Steane, Error correcting codes in quantum theory, Physical Review Letters, vol.77, p.793, 1996.

B. David and . Thomas, A general-purpose method for faithfully rounded floatingpoint function approximation in FPGAs, Computer Arithmetic (ARITH), 2015 IEEE 22nd Symposium on, pp.42-49, 2015.

T. Trevisan, ApproxRISC: An Approximate Computing Infrastructure For RISC-V, RISC-V Workshop, 2018.
URL : https://hal.archives-ouvertes.fr/cea-01893469

E. Vasilakis, An instruction level energy characterization of arm processors, Foundation of Research and Technology Hellas, Inst. of Computer Science, 2015.

V. Vassiliadis, Exploiting significance of computations for energyconstrained approximate computing, International Journal of Parallel Programming, vol.44, pp.1078-1098, 2016.

V. Vassiliadis, Towards automatic significance analysis for approximate computing, 2016 IEEE/ACM International Symposium on Code Generation and Optimization (CGO), pp.182-193, 2016.

. Sravanthi-kota and . Venkata, SD-VBS: The San Diego vision benchmark suite, IEEE International Symposium on, pp.55-64, 2009.

K. Ajay, P. Verma, P. Brisk, and . Ienne, Variable latency speculative addition: A new paradigm for arithmetic circuit design, Proceedings of the conference on Design, automation and test in Europe, pp.1250-1255, 2008.

E. Jack and . Volder, The CORDIC trigonometric computing technique, IRE Transactions on electronic computers, vol.3, pp.330-334, 1959.

Z. Wang, A. C. Bovik, and H. Sheikh, Structural similarity based image quality assessment, Digital Video image quality and perceptual coding, pp.225-241, 2005.

A. Waterman, User-level ISA, version 2.0, EECS Department, vol.I, 2014.

D. Whitley, A genetic algorithm tutorial, Statistics and computing, vol.4, pp.65-85, 1994.

D. Xiu, Fast numerical methods for stochastic computations: a review, Communications in computational physics 5, pp.242-272, 2009.

Q. Xu, T. Mytkowicz, and N. S. Kim, Approximate computing: A survey, IEEE Design & Test, vol.33, pp.8-22, 2016.

A. Yazdanbakhsh, AxBench: A Benchmark Suite for Approximate Computing Across the System Stack, tech. rep., Georgia Institute of Technology, 2016.

Q. Zhang, Approxit: An approximate computing framework for iterative methods, 51st ACM/EDAC/IEEE Design Automation Conference (DAC), pp.1-6, 2014.

N. Zhu, W. L. Goh, and K. Yeo, An enhanced low-power high-speed adder for error, 2009.

N. Zhu, Enhanced low-power high-speed adder for error-tolerant application, SoC Design Conference (ISOCC), pp.323-327, 2010.

. List and . Figures,

, 35 2.5 32-bit RISC-V base instruction formats in our work, p.38

.. .. Risc-v-architecture,

. .. Risc-v-architecture, , p.50

, Average relative energy values of reduced width and full width instructions

, Methodology of output quality vs energy reduction trade-off evaluation, vol.64

, RMSE evaluation on fixed-point Sobel filter

, PSNR evaluation on fixed-point Sobel filter

, SSIM evaluation on fixed-point Sobel filter

, Errors evaluation on fixed-point Forwardk2j

. .. Mul, , p.77

, Forwardk2j energy consumption with a, p.79

, Sobel filter output quality evaluation

. .. , Jmeint output quality vs energy trade-off, vol.87

. .. , Sobel filter output quality vs energy trade-off, p.88

. .. , Forwardk2j output quality vs energy trade-off, p.89

, widths (b) for a given value of energy reduction, p.96

, Energy reduction (?) for a given width

, Sobel filter energy evaluation with optimized units, p.100

, Forwardk2j energy evaluation with optimized units

.. .. Fixed-point-libraries,

. Risc-v-base-opcode and . Map,

, RV32I base for full width instructions

, Relative energy values of the full width instructions, p.52

, Energy evaluation of stand-alone reduced width operators and their use in a RISC-V processor

. .. Software, , p.93