. Tab, 5.5 ? Surface des éléments de l'OSoC en µm 2 et % de la surface totale

. Portante, La surface du TSMU est tirée des résultats obtenus lors

. La-mémoire-d, On peut envisager une surface relativement similaire pour le TSMU, car les modifications nécessaires n'impliquent pas l'intégration de dispositifs de taille importante. En fait, la taille du RAC dépend essentiellement de celle de son réseau d'interconnexion et donc du nombre de cellules utilisées. La taille d'une cellule

A. Circuit, C. Assistée-par-ordinateur, C. Interface, C. Multiprocessor, C. Multiprocessing et al., Application Programming Interface Application Specific Integrated Context-Adaptive Variable Length Coding Control Data Flow Graph CI Critical-Path-On-a-Processor Direct Memory Access DRO Dynamic Resource Occupation DVFS Dynamic Voltage Frequency Scaling DRO Dynamic Resource Occupation DSP First Come First Serve FFDH First-Fit-Decreasing-Height FFDH First-Fit-Increasing-Height Hardware Abstraction Layer Longest Task First Glossaire, LArgest Task First LLD . Level-by-level and Largest-task-first scheduling with Dynamic-resource-occupation

L. Look-up-table, M. Macrobloc, M. Data, M. Multilevel-computing-architecture, M. Multi-processor et al., Multiple Instruction Multiple Multiple Instruction Single Data Moving Picture Experts Group Next-Fit-Decreasing-Height Operating System accelerator on PE and Memory Allocation Unit PRAM Parallel Random Access Memory Reconfigurable Adapted for the Reduced Instruction Set Computer RSSR Restricted Sharing Slack Reclamation RTL Real Time Processor Operating System RTU Real Time Unit SCMP Loosely-Coupled SCalable Multi-Processors SCMP Système d'exploitation Single Instruction Multiple Data SISD Single Instruction Single Data SMT System-on-Chip Deadlock Detection Unit System-on-Chip Lock Cache SPEAR Time Division Multiple Worldwide Interoperability for Microwave Access, Signal Processing Environments Task Main Memory TNS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Temporal Noise Shaping TSMU . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Task Execution and Synchronization Unit TT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Time-Triggered UF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Unité Fonctionnelle UGM

J. Aad and . Van-der-steen, Overview of recent supercomputers, 2005.

A. J. Bernstein, Analysis of Programs for Parallel Processing, IEEE Transactions on Electronic Computers, vol.15, issue.5, pp.757-762, 1966.
DOI : 10.1109/PGEC.1966.264565

D. W. Wall, Limits of instruction-level parallelism, ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 1991.

M. Butler, T. Yeh, Y. Patt, M. Alsup, H. Scales et al., Single instruction stream parallelism is greater than two, IEEE International Symposium on Computer Architecture (ISCA), 1991.
DOI : 10.1145/115953.115980

URL : http://www.ece.utexas.edu/projects/hps/pub/butler_isca18.pdf

L. John, D. A. Hennesy, and . Patterson, Computer Architecture : A Quantitative Approach. Number 1-55860-596-2, 2003.

G. Amdahl, Validity of the single processor approach to achieving large scale computing capabilities, Proceedings of the April 18-20, 1967, spring joint computer conference on, AFIPS '67 (Spring), pp.483-485, 1987.
DOI : 10.1145/1465482.1465560

J. Gustafson, Reevaluating Amdahl's law, Communications of the ACM, vol.31, issue.5, pp.532-533, 1988.
DOI : 10.1145/42411.42415

URL : http://polaris.cs.uiuc.edu/~padua/cs420/2005/p532-gustafson.pdf

R. David, S. Pillement, and O. Sentieys, Low-Power Electronics design, chapter 20 : Low Power Reconfigurable Processors. Number 0-8493-1941-2, 2004.

M. Flynn, Some Computer Organizations and Their Effectiveness, IEEE Transactions on Computers, vol.21, issue.9, pp.948-960, 1972.
DOI : 10.1109/TC.1972.5009071

M. J. Flynn, Very high-speed computing systems, Proceedings of the IEEE, pp.1901-1909, 1966.
DOI : 10.1109/PROC.1966.5273

. Intel, Embedded Intel486 T M Processor Hardware Reference Manual, 1997.

D. Cormie, The ARM11 Microarchitecture, ARM Ltd, 2002.

G. Kane, J. Heinrich, . Mips, and . Architecture, Number 0135904722, 1991.

. Texas-instrument and . Semiconductors, TMS320C5x User's Guide, p.56, 1998.

C. Stephens, B. Cogswell, J. Heinlein, and G. Palmer, Instruction level profiling and evaluation of the IBM RS/6000, IEEE International Symposium on Computer Architecture (ISCA), 1990.

D. Alpert and D. Avnon, Architecture of the Pentium microprocessor Internal organization of the Alpha 21164, a 300- MHz 64-bit quad-issue CMOS RISC microprocessor, IEEE Micro Digital Technical Journal, vol.13, pp.11-21, 1993.

K. Yeager, The Mips R10000 superscalar microprocessor, IEEE Micro, vol.16, issue.2, pp.28-40, 1996.
DOI : 10.1109/40.491460

T. Horel and G. Lauterbach, UltraSPARC-III: designing third-generation 64-bit performance, IEEE Micro, vol.19, issue.3, pp.73-85, 1999.
DOI : 10.1109/40.768506

S. Gurindar, S. E. Sohi, T. N. Breach, and . Vijaykumar, Multiscalar processor, IEEE International Symposium on Computer Architecture (ISCA), pp.414-425, 1995.

E. S. Rotenberg, Q. Jacobson, Y. Sazeides, and J. Smith, Trace processors, Proceedings of 30th Annual International Symposium on Microarchitecture, pp.138-148, 1997.
DOI : 10.1109/MICRO.1997.645805

X. Verians, J. Legat, J. Quisquater, M. Benoit, and . Macq, A New Parallelism Management Scheme for Multiprocessor Systems, Austrian Center for Parallel Computation (ACPC), pp.246-256, 1999.
DOI : 10.1007/3-540-49164-3_23

S. James, G. L. Evans, and . Trimper, Itanium Architecture for Programmers : Understanding 64-Bit Processors and EPIC Principles . Number 0131013726, 2003.

. Texas-instrument and . Semiconductors, TMS320C6x User's Guide, 1999.

F. Homewood and P. Faraboschi, ST200 : A VLIW Architecture for Media-Oriented Applications, Microprocessor Forum, 2000.

R. Sucher, Carmel : A configurable long instruction word DSP core, Microprocessor Forum, 1998.

J. T. Van-eijndhoven, F. W. Sijstermans, K. A. Visser, E. J. Pol, M. J. Tromp et al., Tri- Media CPU64 Architecture, IEEE International Conference on Computer Design (ICCD), pp.586-592, 1999.

D. Tullsen, S. Eggers, and H. Levy, Simultaneous Multithreading : Maximizing On- Chip Parallelism, IEEE International Symposium on Computer Architecture (ISCA), 1995.
DOI : 10.1109/isca.1995.524578

URL : http://www.cs.pitt.edu/~cho/cs2410/currentsemester/papers/tullsen-isca95.pdf

T. Ungerer, B. Robic, and J. Silc, Multithreaded Processors, The Computer Journal, vol.45, issue.3, pp.320-348, 2002.
DOI : 10.1093/comjnl/45.3.320

R. A. Iannuci, G. R. Gao, R. Halstead, and B. Smith, Multithreaded Computer Architecture : A Summary of the State of the Art. Number 0792394771, 1994.

J. Burton and . Smith, Architecture and application of the hep multiprocessor computer system, SPIE Real-Time Signal Processing IV, pp.241-248, 1981.

R. Halstead and T. Fujita, MASA : a multithreaded processor architecture for parallel symbolic computing, IEEE International Symposium on Computer Architecture (ISCA), 1988.
DOI : 10.1109/isca.1988.5255

A. Agarwal, R. Bianchini, D. Chaiken, K. L. Johnson, D. Kranz et al., The MIT Alewife Machine : Architecture and Performance, IEEE International Symposium on Computer Architecture (ISCA), 1995.

R. Alverson, D. Callahan, D. Cummings, B. Koblenz, A. Porterfield et al., The tera computer system, International Conference on Supercomputing ACM International Conference on Supercomputing (ICS), pp.1-6, 1990.

A. Agarwal, J. Kubiatowicz, D. Kranz, B. Lim, D. Yeung et al., Sparcle: an evolutionary processor design for large-scale multiprocessors, IEEE Micro, vol.13, issue.3, pp.48-61, 1993.
DOI : 10.1109/40.216748

A. Mikschl and W. Damm, MSparc: A multithreaded sparc, Par Conference, 1996.
DOI : 10.1007/BFb0024737

URL : http://ca.informatik.uni-oldenburg.de/publications/mikschl96a.ps

W. Grünwald and T. Ungerer, Towards extremely fast context switching in a block-multithreaded processor, Proceedings of EUROMICRO 96. 22nd Euromicro Conference. Beyond 2000: Hardware and Software Design Strategies, 1996.
DOI : 10.1109/EURMIC.1996.546486

M. Tremblay, J. Chan, S. Chaudhry, A. W. Conigliaro, and S. S. Tse, The MAJC Architecture : A Synthesis of Parallelism and Scalability, IEEE/ACM International Symposium on Microarchitecture (MICRO-33), 2000.

D. Koufaty and D. T. Marr, Hyperthreading technology in the netburst microarchitecture, IEEE/ACM International Symposium on Microarchitecture (MICRO-36), 2003.
DOI : 10.1109/MM.2003.1196115

G. Hinton, D. Sager, M. Upton, D. Boggs, D. Carmean et al., The microarchitecture of the pentium 4 processor, 2001.

J. Lo, S. Eggers, J. Emer, H. Levy, R. Stamm et al., Converting thread-level parallelism to instruction-level parallelism via simultaneous multithreading, ACM Transactions on Computer Systems, vol.15, issue.3, 1997.
DOI : 10.1145/263326.263382

URL : http://www.cs.washington.edu/research/smt/papers/tlp2ilp.final.ps

M. N. Dorozhevets and P. Wolcott, The El'brus-3 and MARS-M: Recent advances in Russian high-performance computing, The Journal of Supercomputing, vol.35, issue.8, pp.5-48, 1992.
DOI : 10.1109/2.19820

J. Emer, Simultaneous multithreading : multiplying Alpha's performance, Microprocessor Forum, 1999.

R. Kalla, B. Sinharoy, and J. Tendler, IBM power5 chip: a dual-core multithreaded processor, IEEE/ACM International Symposium on Microarchitecture (MICRO-37), 2004.
DOI : 10.1109/MM.2004.1289290

H. Oehring, U. Sigmund, and T. Ungerer, Performance of simultaneous multithreaded multimedia-enhanced processors for MPEG-2 video decompression, Journal of Systems Architecture, vol.46, issue.11, pp.1033-1046, 2000.
DOI : 10.1016/S1383-7621(00)00008-4

K. Olukotun, B. A. Nayfeh, L. Hammond, K. Wilson, and K. Chang, The Case for a Single-Chip Multiprocessor, ACM International Symposium on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 1996.

A. Amine, J. , W. Wolf, J. Carpenter, S. Funk et al., Multiprocessor Systems-on-Chips. Number 012385251X Handbook of Scheduling : Algorithms, Models, and Performance Analysis Categorization of Real-time Multiprocessor Scheduling Problems and Algorithms, pp.1-30, 2004.

L. Hammond and K. Olukotun, Considerations in the Design of Hydra : A Multiprocessor-on-a-Chip Microarchitecture, 1998.

A. Luiz and . Barroso, Piranha : A Scalable Architecture Based on Single-Chip Multiprocessing, IEEE International Symposium on Computer Architecture (ISCA), 2000.

J. Susan, R. H. Eggers, and . Katz, Evaluating the Performance of Four Snooping Cache Coherency Protocols, IEEE International Symposium on Computer Architecture (ISCA), 1989.

J. Silc, B. Robic, and T. Ungerer, Asynchrony In Parallel Computing : From Dataflow To Multithreading. Parallel and Distributed Computing Practices, pp.57-83, 1998.

J. Brunel, W. M. Kruijtzer, H. J. Kenter, F. Pétrot, L. Pasquier et al., COSY communication IP's, Proceedings of the 37th conference on Design automation , DAC '00, 2000.
DOI : 10.1145/337292.337515

D. Pham, The design and implementation of a first-generation CELL processor, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005., 2005.
DOI : 10.1109/ISSCC.2005.1493930

W. Wolf, The future of multiprocessor systems-on-chips, Proceedings of the 41st annual conference on Design automation , DAC '04, 2004.
DOI : 10.1145/996566.996753

S. Dutta, R. Jensen, and A. Rieckmann, Viper: A multiprocessor SOC for advanced set-top box and digital TV systems, IEEE Design & Test of Computers, vol.18, issue.5, pp.21-31, 2001.
DOI : 10.1109/54.953269

H. Stolberg, M. Berekovic, S. Moch, L. Friebe, M. B. Kulaczewski et al., HiBRID-SoC : A Multi-Core SoC Architecture for Multimedia Signal Processing, Journal of VLSI Signal Processing, issue.1, pp.419-439, 2005.

J. A. Leijten, J. L. Van-meerbergen, A. H. Timmerl, and J. A. Jess, PROPHID: a heterogeneous multi-processor architecture for multimedia, Proceedings International Conference on Computer Design VLSI in Computers and Processors, 1997.
DOI : 10.1109/ICCD.1997.628864

F. Karim, A. Mellan, A. Nguyen, U. Aydonat, and T. S. Abdelrahman, A multilevel computing architecture for embedded multimedia applications, IEEE Micro, vol.24, issue.3, pp.56-66, 2004.
DOI : 10.1109/MM.2004.1

W. Cesrio, A. Baghdadi, L. Gauthier, D. Lyonnard, G. Nicolescu et al., Component-Based Design Approach for Multicore SoCs, IEEE/ACM Design Automation Conference (DAC), 2000.

R. Sasanka, S. V. Adve, Y. Chen, and E. Debes, Comparing the Energy Efficiency of CMP and SMT Architectures for MultimediaWorkloads, 2003.

M. Annavaram, E. Grochowski, and J. Shen, Mitigating Amdahl's Law through EPI Throttling, IEEE International Symposium on Computer Architecture (ISCA), 2005.
DOI : 10.1145/1080695.1069995

URL : http://www.ece.northwestern.edu/~rjoseph/ece510-fall2005/papers/epi_throttle.pdf

L. Spracklen and S. Abraham, Chip Multithreading: Opportunities and Challenges, 11th International Symposium on High-Performance Computer Architecture, 2005.
DOI : 10.1109/HPCA.2005.10

URL : http://www.hpcaconf.org/hpca11/papers/23_x_spracklen-chipmultithreading-1.pdf

S. Chaudhry, P. Caprioli, S. Yip, and M. Tremblay, High-Performance Throughput Computing, IEEE Micro, vol.25, issue.3, pp.32-45, 2005.
DOI : 10.1109/MM.2005.49

C. Lavarenne and Y. Sorel, Specification performance optimization and executive generation for real-time embedded multiprocessor application with SynDEx, Real-Time Embedded Processing for Space Applications in CNES international symposium, 1992.

T. Grandpierre, C. Lavarenne, and Y. Sorel, Optimized Rapid Prototyping for realtime embedded heterogeneous multiprocessors, IEEE/ACM/IFIPS International Workshop on Hardware/Software Co-design (CODES+ISSS), 1999.
DOI : 10.1109/hsc.1999.777396

E. Lenormand and G. Edelin, An industrial perspective : A pragmatic high end signal processing design environment at Thales, International Workshop on Computer Systems, Architectures, Modeling, and Simulation (SAMOS), 2003.

F. Engel, G. Heiser, I. Kuz, S. M. Petters, and S. Ruocco, Operating systems on SoCs : a good idea, IEEE Embedded Real-Time Systems Implementation Workshop (ERTSI), 2004.

S. Andrew, A. S. Tanenbaum, and . Woodhull, Operating Systems Design And Implementation, 2006.

B. Mukherjee, K. Schwan, and P. Gopinath, A Survey of Multiprocessor Operating System Kernels, 1993.

I. Garcia, J. J. Merelo, J. D. Bruguera, and E. L. Zapata, Parallel quadrant interlocking factorization on hypercube computers, Parallel Computing, vol.15, issue.1-3, pp.1-387, 1990.
DOI : 10.1016/0167-8191(90)90033-6

A. S. Tanenbaum, Distributed Operating Systems. Number 0132199084, 1994.

M. J. Bach-]-a, R. Tanenbaum, H. Van-renesse, G. J. Van-staveren, S. J. Sharp et al., The Design of the UNIX Operating System. Number 0132017997 Experiences with the Amoeba distributed operating system, Communications of ACM, vol.33, pp.46-63, 1986.

A. S. Tanenbaum, Modern Operating Systems. Number 0130926418, 2001.

R. N. Thompson and J. A. Wilkinson, The D825 automatic operating and scheduling program, Proceedings of the May 21-23, 1963, spring joint computer conference on, AFIPS '63 (Spring), pp.41-49, 1963.
DOI : 10.1145/1461551.1461558

W. Wulf, E. Cohen, W. Corwin, A. Jones, R. Levin et al., HYDRA: the kernel of a multiprocessor operating system, Communications of the ACM, vol.17, issue.6, pp.337-345, 1974.
DOI : 10.1145/355616.364017

J. A. Hawley and W. B. Meyer, MUNIX, A Multiprocessing Version of UNIX, Naval Postgraduate School, 1975.

M. J. Accetta, R. V. Baron, W. J. Bolosky, D. B. Golub, R. F. Rashid et al., Mach : A new kernel foundation for UNIX development, USENIX Summer Conference, 1986.

E. Piel, P. Marquet, J. Souda, and J. Dekeyser, Load-balancing for a real-time system based on asymmetric multi-processing, IEEE Euromicro Conference on Real-Time Systems (RTS), 2004.

D. Hildebrand, An architectural overview of QNX, the USENIX Workshop on Microkernels and other Kernel Architectures, 1992.

J. W. Liu, Real-Time Systems. Number 0130996513, 2000.
URL : https://hal.archives-ouvertes.fr/hal-00544464

D. B. Stewart, D. E. Schmitz, and P. K. Hhosla, The Chimera II real-time operating system for advanced sensor-based control applications, IEEE Transactions on Systems, Man, and Cybernetics, vol.22, issue.6, pp.1282-1295, 1992.
DOI : 10.1109/21.199456

H. Kopetz, A. Damm, C. Koza, M. Mulazzani, W. Schwabl et al., Distributed fault-tolerant real-time systems: the Mars approach, IEEE Micro, vol.9, issue.1, pp.25-40, 1989.
DOI : 10.1109/40.16792

T. Nakajima, H. Tokuda, and P. Rao, Real-Time Mach : Towards a Predictable Real- Time System, USENIX 1st Mach Workshop, 1990.

B. Weinberg and C. Lundholm, Embedded Linux -Ready for Real-Time, 2001.

M. Akerholm and T. Samuelsson, Design and Benchmarking of Real-Time Multiprocessor Operating System Kernels, 2002.

K. Ramamritham and J. A. Stankovic, Scheduling algorithms and operating systems support for real-time systems, Proceedings of the IEEE, pp.55-67, 1994.
DOI : 10.1109/5.259426

D. Decotigny, Bibliographie d'introduction à l'ordonnancement dans les systèmes informatiques temps-réel, 2002.

T. Pop, Scheduling and Optimisation of Heterogeneous Time/Event-Triggered Distributed Embedded Systems, 2003.

R. Yerraballi, Real-Time Operating Systems : An Ongoing Review, IEEE Work-In- Progress Sessions of the Real-Time Systems Symposium (RTSS), 2000.

L. Jean and . Labrosse, Microc/OS II : The Real Time Kernel. Number 1578201039, 2002.

C. L. Liu and J. W. Layland, Scheduling Algorithms for Multiprogramming in a Hard-Real-Time Environment, Journal of the ACM, vol.20, issue.1, pp.46-61, 1973.
DOI : 10.1145/321738.321743

G. C. Buttazo, Hard Real-Time Computing Systems, Number 0387231374. Kluwer Academic, 1997.

J. Leung and M. Merril, A note on preemptive scheduling of periodic, real-time tasks, Information Processing Letters, vol.11, issue.3, pp.115-118, 1980.
DOI : 10.1016/0020-0190(80)90123-4

P. Martineau, Ordonnancement en-ligne dans les systèmes informatiques temps-réel, pp.82-93, 1994.

J. Delacroix, Un contrôleur d'ordonnancement temps-réel pour la stabilité de earliest deadline en surcharge : le régisseur, Conservatoire National des Arts et Métiers (CNAM), 1994.

B. Sprunt, Aperiodic task scheduling for Hard-Real-Time systems, Real-time Systems, vol.20, issue.1, 1990.
DOI : 10.1007/BF02341920

M. Caccamo, G. Lipari, and G. Buttazzo, Sharing resources among periodic and aperiodic tasks with dynamic deadlines, Proceedings 20th IEEE Real-Time Systems Symposium (Cat. No.99CB37054), 1999.
DOI : 10.1109/REAL.1999.818856

URL : http://gandalf.sssup.it/~peppe/papers/rtss99.ps.gz

G. Lipari and S. K. Baruah, A hierarchical extension to the constant bandwidth server framework, Proceedings Seventh IEEE Real-Time Technology and Applications Symposium, 2001.
DOI : 10.1109/RTTAS.2001.929863

M. Silly, The EDL Server for Scheduling Periodic and Soft Aperiodic Tasks with ResourceConstraints, Real-Time Systems, vol.17, issue.1, pp.87-111, 1999.
DOI : 10.1023/A:1008093629946

H. Ghetto, M. Silly, and T. Bouchentouf, Dynamic Scheduling of Real-Time Task Under Precedence Constraints, IEEE Real Time Systems, vol.2, pp.181-194, 1990.

J. A. Stankovic, M. Spuri, M. D. Natale, and G. Butazzo, Implications of classical scheduling results for real-time systems, Computer, vol.28, issue.6, pp.16-25, 1995.
DOI : 10.1109/2.386982

L. Sha, R. Rajkumar, and J. Lehoczky, Priority inheritance protocols: an approach to real-time synchronization, IEEE Transactions on Computers, vol.39, issue.9, 1990.
DOI : 10.1109/12.57058

T. P. Baker, Stack-based scheduling of realtime processes, Real-Time Systems, vol.1, issue.3, pp.67-100, 1991.
DOI : 10.1007/BF00365393

E. G. Coffman and R. Graham, Optimal scheduling for two-processor systems, Acta Informatica, vol.17, issue.No. 1, 1972.
DOI : 10.4153/CJM-1965-045-4

E. L. Lawler and C. U. Martel, Scheduling periodically occurring tasks on multiple processors, Information Processing Letters, vol.12, issue.1, pp.9-12, 1981.
DOI : 10.1016/0020-0190(81)90066-1

S. K. Sahni, Preemptive Scheduling with Due Dates, Operations Research, vol.27, issue.5, pp.925-934, 1979.
DOI : 10.1287/opre.27.5.925

O. Savaje and P. Overview, J2ME Personal Basis Profile and MIDP 2.0 Edition, 2003.

J. Reuter, Inside Windows CE, 1998.

A. E. Eichenberger, Optimizing Compiler for the CELL Processor, 14th International Conference on Parallel Architectures and Compilation Techniques (PACT'05), 2005.
DOI : 10.1109/PACT.2005.33

A. E. Eichenberger, Using advanced compiler technology to exploit the performance of the Cell Broadband Engine??? architecture, IBM Systems Journal, vol.45, issue.1, pp.59-84, 2006.
DOI : 10.1147/sj.451.0059

L. Dagum and R. Menon, OpenMP: an industry standard API for shared-memory programming, IEEE Computational Science and Engineering, vol.5, issue.1, pp.46-55, 1998.
DOI : 10.1109/99.660313

C. Koelbel, D. Loveman, R. Schreiber, G. Steele-jr, and M. Zosel, The High Performance Fortran Handbook, Computers in Physics, vol.8, issue.4, pp.0-262, 1994.
DOI : 10.1063/1.4823319

T. Li and L. K. John, Run-time Modeling and Estimation of Operating System Power Consumption, ACM International Conference on Measurements and Modeling of Computer Systems (SIGMETRICS), 2003.

K. Baynes, C. Collins, E. Fiterman, B. Ganesh, P. Kohout et al., The performance and energy consumption of embedded real-time operating systems, IEEE Transactions on Computers, vol.52, issue.11, pp.1454-1469, 2003.
DOI : 10.1109/TC.2003.1244943

M. Sindhwani, T. F. Oliver, D. L. Maskell, and T. Srikanthan, RTOS Acceleration Techniques -Review and Challenges, Real-Time Linux Workshop, 2004.

J. Roos, Designing a real-time coprocessor for Ada tasking, IEEE Design & Test of Computers, vol.8, issue.1, pp.67-79, 1991.
DOI : 10.1109/54.75665

A. Morton and W. M. Loucks, A hardware/software kernel for system on chip designs, Proceedings of the 2004 ACM symposium on Applied computing , SAC '04, 2004.
DOI : 10.1145/967900.968077

J. Hildebrandt, F. Golatowski, and D. Timmermann, Scheduling coprocessor for enhanced least-laxity-first scheduling in hard real-time systems, Proceedings of 11th Euromicro Conference on Real-Time Systems. Euromicro RTS'99, 1999.
DOI : 10.1109/EMRTS.1999.777467

A. Parisoto, A. Jr, M. Souza, C. Pontremoli, A. Pereira et al., F-Timer: dedicated FPGA to real-time systems design support, Proceedings Ninth Euromicro Workshop on Real Time Systems, 1997.
DOI : 10.1109/EMWRTS.1997.613761

P. Kohout, B. Ganesh, and B. Jacob, Hardware support for real-time operating systems, Proceedings of the 1st IEEE/ACM/IFIP international conference on Hardware/software codesign & system synthesis , CODES+ISSS '03, 2003.
DOI : 10.1145/944654.944656

P. Kuacharoen, M. A. Shalan, V. J. Mooney, and I. , A Configurable Hardware Scheduler for Real-Time Systems, International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA), 2003.

. Realfast, Sierra 16 -Operting System HW Accelerator -Users Reference Manual, 2004.

T. Nakano, Y. Komatsudaira, A. Shiomi, and M. Imai, VLSI Implementation of a Realtime Operating System, IEEE/SIGDA Asia and South Pacific Design Automation Conference (ASPDAC), 1997.

K. Sakamura, µITRON 3.0 Specification, 1994.

T. Nakano, Y. Komatsudaira, A. Shiomi, and M. Imai, Performance Evaluation of STRON : A Hardware Implementation of a Real-Time OS, IEICE Trans. Fundamentals of Electronics, Communications and Computer Sciences, vol.82, issue.11, pp.2375-2382, 1999.

T. Nakano, A. Utama, M. Itabashi, A. Shiomi, and M. Imai, Hardware Implementation of a Real-time Operating System, IEEE TRON Project International Symposium, 1995.

S. Isaacson and D. Wilde, The Task-Resource Matrix : Control for a Distributed Reconfigurable Multi-Processor Hardware RTOS, Engineering of Reconfigurable Systems and Algorithms, 2004.

J. Lee, K. K. Ryu, V. J. Mooney, and I. , A Framework for Automatic Generation of Configuration Files for a CustomHardware/Software RTOS, International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA), 2002.

B. E. Akgul, J. Lee, V. J. Mooney, and I. , A system-on-a-chip lock cache with task preemption support, Proceedings of the international conference on Compilers, architecture, and synthesis for embedded systems , CASES '01, 2001.
DOI : 10.1145/502217.502242

B. E. Akgul, V. J. Mooney, I. , H. Thane, and P. Kuacharoen, Hardware support for priority inheritance, Proceedings. 2003 International Symposium on System-on-Chip (IEEE Cat. No.03EX748), 2003.
DOI : 10.1109/REAL.2003.1253271

URL : http://codesign.ece.gatech.edu/publications/bilge/paper/rtss2003.pdf

J. Lee, V. J. Mooney, and I. , A novel deadlock avoidance algorithm and its hardware implementation, Proceedings of the 2nd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis , CODES+ISSS '04, 2004.
DOI : 10.1145/1016720.1016769

URL : http://www.cs.york.ac.uk/rts/docs/SIGDA-Compendium-1994-2004/papers/2004/codes04/pdffiles/p200.pdf

M. Shalan, V. J. Mooney, and I. , Hardware support for real-time embedded multiprocessor system-on-a-chip memory management, Proceedings of the tenth international symposium on Hardware/software codesign , CODES '02, 2002.
DOI : 10.1145/774789.774806

M. Shalan, V. J. Mooney, and I. , A dynamic memory management unit for embedded real-time system-on-a-chip, Proceedings of the international conference on Compilers, architectures, and synthesis for embedded systems , CASES '00, 2000.
DOI : 10.1145/354880.354905

W. Burleson, J. Ko, D. Niehaus, K. Ramamritham, J. A. Stankovicand et al., The spring scheduling co-processor: a scheduling accelerator, Proceedings of 1993 IEEE International Conference on Computer Design ICCD'93, pp.38-47, 1999.
DOI : 10.1109/ICCD.1993.393392

K. Ramamritham, J. A. Stankovic, and P. Shiah, Efficient scheduling algorithms for real-time multiprocessor systems, IEEE Transactions on Parallel and Distributed Systems, vol.1, issue.2, pp.184-194, 1990.
DOI : 10.1109/71.80146

J. A. Stankovic and K. Ramamritham, The Spring kernel: a new paradigm for real-time operating systems, ACM SIGOPS Operating Systems Review, vol.23, issue.3, pp.54-71, 1989.
DOI : 10.1145/71021.71024

J. Furunäs, Survey of methods of implementing IPC mechanisms, 2001.

J. Adomat, J. Furunäs, J. Stärner, and L. Lindh, RTU94 -Real Time Unit 1994, 1994.

J. Adomat, J. Furunäs, L. Lindh, and J. Stärner, Real-time kernel in hardware RTU: a step towards deterministic and high-performance real-time systems, Proceedings of the Eighth Euromicro Workshop on Real-Time Systems, 1996.
DOI : 10.1109/EMWRTS.1996.557849

J. Lee, V. J. Mooney, I. , A. Daleby, K. Ingström et al., A comparison of the RTU hardware RTOS with a hardware/software RTOS, Proceedings of the 2003 conference on Asia South Pacific design automation , ASPDAC, 2003.
DOI : 10.1145/1119772.1119925

T. Samuelsson, M. Akerholm, P. Nygren, J. Stärner, and L. Lindh, A Comparison of Multiprocessor Real-Time Operating Systems Implemented in Hardware and Software, International Workshop on Advanced Real-Time Operating System Services, 2003.

R. Haukilahti, Energy Characterization of a RTOS Hardware Accelerator for SoCs, Swedish System-on-Chip Conference, 2002.

F. E. Fich, Synthesis of Parallel Algorithms, chapter The complexity of computation on the Parallel Random Access Machine, pp.843-899, 1993.

P. Stenström, A survey of cache coherence schemes for multiprocessors, Computer, vol.23, issue.6, pp.12-24, 1990.
DOI : 10.1109/2.55497

R. Lawrence, A Survey of Cache Coherence Mechanisms in Shared Memory Multiprocessors, 1998.

T. Lundqvist and P. Stenström, Timing anomalies in dynamically scheduled microprocessors, Proceedings 20th IEEE Real-Time Systems Symposium (Cat. No.99CB37054), 1999.
DOI : 10.1109/REAL.1999.818824

URL : http://www.ce.chalmers.se/~pers/pub/c37.pdf

F. Mueller, Timing analysis for instruction caches, Real-Time Systems, vol.18, issue.2/3, pp.217-247, 2000.
DOI : 10.1023/A:1008145215849

S. Rubini and D. Lavenier, Les architectures reconfigurables. Calculateurs Parallèles, pp.9-27, 1997.

G. Kahn, The Semantics of a Simple Language for Parallel Programming, IFIP Congress 74, 1974.

K. Compton and S. Hauck, Reconfigurable computing: a survey of systems and software, ACM Computing Surveys, vol.34, issue.2, pp.171-200, 2002.
DOI : 10.1145/508352.508353

R. Hartenstein, A decade of reconfigurable computing: a visionary retrospective, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001, 2001.
DOI : 10.1109/DATE.2001.915091

R. David, Architecture reconfigurable dynamiquement pour applications mobiles, 2003.

J. Becker, M. Glesner, A. Alsolaim, and J. Starzyk, Fast Communication Mechanisms in Coarse-grained Dynamically ReconfigurableArray Architectures, Workshop on Engineering of Reconfigurable Hardware/Software Objects (ENREGLE), 2000.

H. Singh, M. Lee, G. Lu, F. J. Kurdahi, N. Bagherzadeh et al., MorphoSys: an integrated reconfigurable system for data-parallel and computation-intensive applications, IEEE Transactions on Computers, vol.49, issue.5, pp.465-481, 2000.
DOI : 10.1109/12.859540

T. Miyamori and K. Olukotun, REMARC (abstract), Proceedings of the 1998 ACM/SIGDA sixth international symposium on Field programmable gate arrays , FPGA '98, 1998.
DOI : 10.1145/275107.275164

D. Cronquist, Architecture design of reconfigurable pipelined datapaths, Proceedings 20th Anniversary Conference on Advanced Research in VLSI, 1999.
DOI : 10.1109/ARVLSI.1999.756035

V. Baumgarte, G. Ehlers, F. May, A. Nückel, and M. Vorbach, PACT XPP -A Self- Reconfigurable Data Processing Architecture, The Journal of Supercomputing, vol.26, issue.2, pp.167-184, 2003.
DOI : 10.1023/A:1024499601571

V. David, C. Aussaguès, S. Louise, . Ph, B. Hilsenkopf et al., The OASIS Based Qualified Display System, American Nuclear Society International Topical Meeting on Nuclear Plant Instrumentation, Controls and Human-Machine Interface Technologies (NPIC&HMIT), 2004.

G. Sassatelli, L. Torres, P. Benoit, T. Gil, C. Diou et al., Highly Scalable Dynamically Reconfigurable Systollic Ring-Architecture for DSP Applications, IEEE/ACM International conference on Design Automation and Test in Europe (DATE), 2002.
DOI : 10.1109/date.2002.998355

G. Flavius, System-Level Design Methods for Low-Energy Architectures Containing Variable Voltage Processors, The Power-Aware Computing Systems 2000 Workshop at ASPLOS'00, 2000.

I. Hong, G. Qu, M. Potkonjak, and M. Srivastava, Synthesis Techniques for Low-Power Hard Real-Time Tasks on Variable Voltage Processors, IEEE Real-Time System Symposium (RTSS), 1998.

B. Brock and K. Rajamani, Dynamic Power Management for Embedded Systems, IEEE International SOC Conference, 2003.

J. Pouwelse, K. Langendoen, and H. Sips, Dynamic voltage scaling on a low-power microprocessor, Proceedings of the 7th annual international conference on Mobile computing and networking , MobiCom '01, 2001.
DOI : 10.1145/381677.381701

URL : http://delta.cs.cinvestav.mx/~pmejia/power/pouwelse00dynamic.pdf

T. Pering, T. Burd, and R. Brodersen, Voltage Scheduling in the lpARM Microprocessor System, IEEE International Symposium on Low-Power Electronic Design (ISPLED), 2000.

M. Fleischmann, LongRun Power Management, 2001.

R. David, V. David, N. Ventroux, and T. Collette, Procédé et système de calcul intensif multitâche et multiflot en temps réel, 2005.

J. Philippe, Intégration des réseaux sur silicium : optimisation des performances des couches physiques et liaison, 2005.

L. Adams, Overview of the CoreFRAME Architecture

L. Benini and G. Micheli, Networks on chips: a new SoC paradigm, Computer, vol.35, issue.1, pp.70-78, 2002.
DOI : 10.1109/2.976921

W. J. Dally and B. Towles, Route packets, not wires: on-chip interconnection networks, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232), 2001.
DOI : 10.1109/DAC.2001.935594

URL : http://dynacomp.cs.berkeley.edu/backgrnd_papers/41_4.pdf

J. Liang, S. Swaminathan, and R. Tessier, ASOC: a scalable, single-chip communications architecture, Proceedings 2000 International Conference on Parallel Architectures and Compilation Techniques (Cat. No.PR00622), 2000.
DOI : 10.1109/PACT.2000.888329

D. Wiklund and D. Liu, SoCBUS: switched network on chip for hard real time embedded systems, Proceedings International Parallel and Distributed Processing Symposium, 2003.
DOI : 10.1109/IPDPS.2003.1213180

URL : http://www.da.isy.liu.se/pubs/danwi/danwi-ipdps2003.pdf

L. M. Ni and P. K. Mckinley, A survey of wormhole routing techniques in direct networks, Computer, vol.26, issue.2, pp.62-76, 1993.
DOI : 10.1109/2.191995

A. Rädeulescu, J. Dielissen, S. G. Pestana, O. P. Hangwal, E. Rijpkema et al., An efficient on-chip network interface offering guaranteed services, shared-memory abstraction, and flexible network programming, IEEE Transactions on CAD of Integrated Circuits and Systems, vol.24, issue.1, pp.4-17, 2005.

M. Millberg, E. Nilsson, R. Third, and A. Jantsch, Guaranteed bandwidth using looped containers in temporally disjoint networks within the nostrum network on chip, Proceedings Design, Automation and Test in Europe Conference and Exhibition, 2004.
DOI : 10.1109/DATE.2004.1269001

F. Karim, A. Nguyen, and S. Dey, An interconnect architecture for networking systems on chips, IEEE Micro, vol.22, issue.5, pp.36-45, 2002.
DOI : 10.1109/MM.2002.1044298

P. Crowley, M. A. Franklin, H. Hadimioglu, and P. Onufryk, Network Processor Design : Issues and Practice Network Processor Design : An Introduction to Design Issues, 2003.

P. Guerrier, Un réseau d'interconnexion pour systèmes intégrés, 2000.

P. Vivet, F. Clermidy, and D. Lattard, FAUST, an Asynchronous Network-on-Chip based Architecture for Telecom Applications, IEEE/ACM Design, Automation and Test in Europe (DATE), 2006.

T. Collette, H. Essafi, K. Kaiser, and D. Juvin, SYMPATIX : a SIMD Computer Performing the Low and Intermediate levels of Image Processing, International Parallel Architectures and Languages Europe Conference (PARLE), pp.147-161, 1992.

S. Chevobbe, N. Ventroux, F. Blanc, and T. Collette, RAMPASS: Reconfigurable and Advanced Multi-processing Architecture for Future Silicon Systems, International Workshop on Computer Systems, Architectures, Modeling, and Simulation (SAMOS), pp.20-29, 2003.
DOI : 10.1007/978-3-540-27776-7_3

T. Murata, Petri nets: Properties, analysis and applications, Proceedings of the IEEE, pp.541-580, 1989.
DOI : 10.1109/5.24143

S. Chevobbe, Unité de commande pour systèmes parallèles : contrôleur basé sur la mise en oeuvre dynamique de réseaux de Pétri, 2005.

N. Ventroux, S. Chevobbe, F. Blanc, and T. Collette, An Auto-adaptative Reconfigurable Architecture for the Control, Asia-Pacific Conference on Advances in Computer Systems Architecture (ACSAC), pp.72-87, 2004.
DOI : 10.1007/978-3-540-30102-8_7

URL : https://hal.archives-ouvertes.fr/cea-01789447

M. R. Garey and D. S. Johnson, Computers and Intractability : A Guide to the Theory of NP-Completeness. Number 0-7167-1045-5, 1979.

P. Dutot and D. Trystram, Scheduling on hierarchical clusters using malleable tasks, Proceedings of the thirteenth annual ACM symposium on Parallel algorithms and architectures , SPAA '01, 2001.
DOI : 10.1145/378580.378640

URL : https://hal.archives-ouvertes.fr/inria-00001082

K. Jansen and L. Porkolab, Linear-Time Approximation Schemes for Scheduling Malleable Parallel Tasks, Algorithmica, vol.32, issue.3, pp.507-520, 2002.
DOI : 10.1007/s00453-001-0085-8

URL : https://link.springer.com/content/pdf/10.1007%2Fs00453-001-0085-8.pdf

J. Turek, J. L. Wolf, and P. S. Yu, Approximate algorithms scheduling parallelizable tasks, Proceedings of the fourth annual ACM symposium on Parallel algorithms and architectures , SPAA '92, 1992.
DOI : 10.1145/140901.141909

Y. Kwok and I. Ahmad, Static scheduling algorithms for allocating directed task graphs to multiprocessors, ACM Computing Surveys, vol.31, issue.4, pp.406-471, 1999.
DOI : 10.1145/344588.344618

URL : http://reptar.uta.edu/NOTES4351/taskSurvey.pdf

L. Wang, H. J. Siegel, V. P. Roychowdhury, and A. A. Maciejewski, Task Matching and Scheduling in Heterogeneous Computing Environments Using a Genetic-Algorithm-Based Approach, Journal of Parallel and Distributed Computing, vol.47, issue.1, pp.1-15, 1997.
DOI : 10.1006/jpdc.1997.1392

F. Xu, Integration, Simulation and Implementation of a hardware-based Genetic Optimizer to Adjust Smart Antenna Receiver, 2003.

T. D. Braun, A Comparison Study of Static Mapping Heuristics for a Class of Meta-taskson Heterogeneous Computing Systems, IEEE Heterogeneous Computing Workshop (HCW), 1999.

A. Auyeung, I. Gondra, and H. K. Dai, Multi-heuristic list scheduling genetic algorithm for task scheduling, Proceedings of the 2003 ACM symposium on Applied computing , SAC '03, 2003.
DOI : 10.1145/952532.952673

J. Liou and M. A. Palis, A Comparison of General Approaches to Multiprocessor Scheduling, IEEE International Parallel Processing Symposium (IPPS), 1997.

S. Ranaweera and D. Agrawal, A scalable task duplication based scheduling algorithm for heterogeneous systems, Proceedings 2000 International Conference on Parallel Processing, 2000.
DOI : 10.1109/ICPP.2000.876154

C. Y. Lee, L. Lei, and M. Pinedo, Current trends in deterministic scheduling, Annals of Operations Research, vol.70, pp.1-41, 1997.
DOI : 10.1023/A:1018909801944

J. L. Baer, A Survey of Some Theoretical Aspects of Multiprocessing, ACM Computing Surveys, vol.5, issue.1, pp.31-80, 1973.
DOI : 10.1145/356612.356615

J. Blazewicz, M. Drabowski, and W. , Scheduling Multiprocessor Tasks to Minimize Schedule Length, IEEE Transactions on Computers, vol.35, issue.5, pp.389-393, 1986.
DOI : 10.1109/TC.1986.1676781

B. S. Baker, D. J. Brown, and H. P. Katseff, A algorithm for two-dimensional packing, Journal of Algorithms, vol.2, issue.4, pp.348-368, 1981.
DOI : 10.1016/0196-6774(81)90034-1

K. Jansen and L. Porkolab, Improved Approximation Schemes for Scheduling Unrelated Parallel Machines, ACM Symposium on Theory of Computing (STOC), 1999.
DOI : 10.1145/301250.301361

URL : http://www.informatik.uni-kiel.de/inf/Jansen/kj/STOC99JP_L.ps.gz

H. Topcuoglu, S. Hariri, and M. Wu, Performance-effective and low-complexity task scheduling for heterogeneous computing, IEEE Transactions on Parallel and Distributed Systems, vol.13, issue.3, pp.260-274, 2002.
DOI : 10.1109/71.993206

URL : http://meseec.ce.rit.edu/eecc722-fall2002/papers/hc/5/l0260.pdf

K. Li and Y. Pan, Probabilistic Analysis of Scheduling Precedence Constrained Parallel Taskson Multicomputers with Contiguous Processor Allocation, IEEE Transactions on Computer, vol.49, issue.10, pp.1021-1030, 2000.

T. Ibaraki and N. Katoh, Resource allocation Problems : Algorithmic Approaches. Number 0-262-09027-9, 1988.

N. Ventroux, F. Blanc, and D. Lavenier, A Low Complex Scheduling Algorithm for Multi-Processor System-on-Chip, IASTED International Conference on Parallel and Distributed Computing and Networks (PDCN), 2005.

D. Sleator, A 2.5 times optimal algorithm for packing in two dimensions, Information Processing Letters, vol.10, issue.1, pp.37-40, 1980.
DOI : 10.1016/0020-0190(80)90121-0

E. G. Coffman, M. R. Garey, D. S. Johnson, and R. E. Tarjan, Performance Bounds for Level-Oriented Two-Dimensional Packing Algorithms, SIAM Journal on Computing, vol.9, issue.4, pp.808-826, 1980.
DOI : 10.1137/0209062

J. Goossens, Systèmes temps réel chapter Ordonnancement temps réel multiprocesseur, Nicolas Navet, vol.2, 2006.

S. Funk, S. Baruah, and J. Goossens, Heuristics for restricting EDF migration uniform multiprocessors, IEEE Work-in-progress Session in Real-Time Systems Symposium (RTSS), 2004.
DOI : 10.3166/tsi.24.917-938

URL : http://www.cs.uga.edu/~shelby/pubs/FunkBaruah.pdf

J. Leung, Handbook of scheduling : Algorithms, Models, and Performance Analysis. Number 1584883979, 2004.

J. Y. Leung and J. Whitehead, On the complexity of fixed-priority scheduling of periodic, real-time tasks, Performance Evaluation, vol.2, issue.4, pp.237-250, 1982.
DOI : 10.1016/0166-5316(82)90024-4

J. M. Lopez, M. Garcia, J. L. Diaz, and D. F. Garcia, Worst-case utilization bound for EDF scheduling on real-time multiprocessor systems, Proceedings 12th Euromicro Conference on Real-Time Systems. Euromicro RTS 2000, 2000.
DOI : 10.1109/EMRTS.2000.853989

S. Funk, J. Goossens, and S. Baruah, On-line scheduling on uniform multiprocessors, Proceedings 22nd IEEE Real-Time Systems Symposium (RTSS 2001) (Cat. No.01PR1420), 2001.
DOI : 10.1109/REAL.2001.990609

URL : http://www.ulb.ac.be/di/ssd/goossens/./u-rms.ps

C. A. Phillips, C. Stein, E. Torng, and J. Wein, Optimal Time-Critical Scheduling via Resource Augmentation, ACM Symposium on Theory of Computing (STC), 1997.
DOI : 10.1007/s00453-001-0068-9

URL : http://web.cps.msu.edu/~torng/Research/Pubs/extra-resources.ps

J. Goossens, S. Funk, and S. Baruah, Priority-Driven Scheduling of Periodic Task Systems on Multiprocessors. Real-Time Systems, pp.187-205, 2003.

K. S. Hong and J. Leung, On-Line Scheduling of Real-Time Tasks, IEEE Transactions on Computers, issue.10, pp.411326-1331, 1992.

A. Srinivasan and J. H. Anderson, Optimal Rate-based scheduling on Multiprocessors, ACM Symposium on Theory of Computing (STOC), 2002.

S. Baruah, J. Gehrke, and C. G. Plaxton, Fast scheduling of periodic tasks on multiple resources, Proceedings of 9th International Parallel Processing Symposium, 1995.
DOI : 10.1109/IPPS.1995.395946

S. Baruah, J. Gehrke, and C. G. Plaxton, Proportionate progress, Proceedings of the twenty-fifth annual ACM symposium on Theory of computing , STOC '93, pp.600-625, 1996.
DOI : 10.1145/167088.167194

J. Anderson and A. Srinivasan, Early-release fair scheduling, Proceedings 12th Euromicro Conference on Real-Time Systems. Euromicro RTS 2000, 2000.
DOI : 10.1109/EMRTS.2000.853990

M. L. Dertouzos and A. Mok, Multiprocessor online scheduling of hard-real-time tasks, IEEE Transactions on Software Engineering, vol.15, issue.12, pp.1497-1506, 1989.
DOI : 10.1109/32.58762

E. Grolleau, Ordonnancement temps réel hors-ligne optimal à l'aide de réseaux de Pétri en environnement monoprocesseur et multiprocesseur, 1999.

B. Kalyanasundaram, K. R. Pruhs, and E. Torng, Errata: A New Algorithm for Scheduling Periodic, Real-Time Tasks, Algorithmica, vol.28, issue.3, pp.269-270, 2000.
DOI : 10.1007/s004530010048

J. Leung, A new algorithm for scheduling periodic, real-time tasks, Algorithmica, vol.20, issue.No. 1, pp.209-219, 1989.
DOI : 10.1007/BF01553887

S. Sáez, J. Vila, and A. Crespo, Dynamic Scheduling Solutions for Real-Time Multiprocessor Systems, IFAC Proceedings Volumes, vol.29, issue.5, pp.1007-1013, 1997.
DOI : 10.1016/S1474-6670(17)46357-9

R. Graham, Computer and Job Shop Scheduling, chapter Bounds on the Performance of Scheduling Algorithms. Number 0471163198, 1976.

J. Goossens, S. Funk, and S. Baruah, EDF scheduling on multiprocessors : some (perhaps ) counterintuitive observations, IEEE International Conference on Real-Time Computing Systems and Applications (RTCSA), 2002.

B. Anderson and J. Jonsson, Preemptive multiprocessor scheduling anomalies, Proceedings 16th International Parallel and Distributed Processing Symposium, 2002.
DOI : 10.1109/IPDPS.2002.1015483

J. Goossens, R. Devillers, and S. Funk, Tie-breaking for EDF on multiprocessor platforms, IEEE Work-in-progress Session in Real-Time Systems Symposium (RTSS), 2002.

J. Goossens and P. Richard, Overview of real-time scheduling problems, International Workshop on Project Management and Scheduling (CPMS), 2004.

P. Guitton-ouhamou, C. Belleudy, and M. Auguin, Dynamic Voltage Scaling : implementations during the scheduling step of a codesign tool, Sophia Antipolis Microelectronics Forum (SAME), 2003.

D. Wu, B. M. Hashimi, and P. Eles, Scheduling and mapping of conditional task graph for the synthesis of low power embedded systems, IEEE/ACM Design Automation and Test in Europe (DATE), 2003.
DOI : 10.1049/ip-cdt:20030837

P. H. Chou, J. Liu, D. Li, and N. Bagherzadeh, IMPACCT : Methodology and tools for Power-Aware Embedded Systems, Kluwer International Journal, Special Issue on Design Methodologies and Tools for Real-Time Embedded Systems, vol.7, issue.3, pp.233-270, 2002.

L. Weng, W. Wang, and B. Liu, A Survey of Dynamic Power Optimization Techniques, IEEE International Workshop on System-on-Chip for Real-Time Applications (IWSOC), 2003.

E. Macii, Dynamic power management of electronic systems, IEEE Design and Test of Computers, vol.18, issue.2, pp.6-9, 1998.
DOI : 10.1109/MDT.2001.914589

D. Ramanathan and R. Gupta, System level online power management algorithms, IEEE/ACM Design, Automation and Test in Europe (DATE), 2000.
DOI : 10.1109/date.2000.840847

URL : http://www.ics.uci.edu/~dinesh/pubs/date00.pdf

Y. Lu and G. D. Micheli, Comparing system-level power management, IEEE Design and Test of Computers, vol.18, issue.2, pp.10-19, 2001.

C. Hwang and A. Wu, A predictive system shutdown method for energy saving of event-driven computation, IEEE/ACM International Conference on Computer- Aided Design (ICCAD), 1997.
DOI : 10.1145/335043.335046

M. B. Srivastava, A. P. Chandrakasan, and R. W. Brodersen, Predictive system shutdown and other architectural techniques for energy efficient programmable computation, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.42-55, 1996.
DOI : 10.1109/92.486080

E. Chung, L. Benini, and G. D. Micheli, Dynamic power management using adaptive learning tree, IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1999.

G. A. Paleologo, L. Benini, A. Bogliolo, and G. D. Micheli, Policy optimization for dynamic power management, Proceedings of the 35th annual conference on Design automation conference , DAC '98, 1998.
DOI : 10.1145/277044.277094

URL : http://akebono.stanford.edu/users/nanni/research/dpm/tcad99.pdf

Q. Qiu and M. Pedram, Dynamic power management based on continuous-time Markov decision processes, Proceedings of the 36th ACM/IEEE conference on Design automation conference , DAC '99, 1999.
DOI : 10.1145/309847.309997

W. Kim, D. Shin, H. Yun, J. Kim, and S. L. Min, Performance Comparison of Dynamic Voltage Scaling Algorithms for Hard Real-Time Systems, IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS), 2002.

S. Lee and T. Sakurai, Run-time voltage hopping for low-power real-time systems, Proceedings of the 37th conference on Design automation , DAC '00, 2000.
DOI : 10.1145/337292.337785

URL : http://www.sigda.org/Archives/ProceedingArchives/Dac/Dac2000/papers/2000/dac00/htmfiles/sun_sgi/../../pdffiles/49_3.pdf

D. Shin, J. Kim, and S. Lee, Intra-task voltage scheduling for low-energy hard real-time applications, IEEE Design & Test of Computers, vol.18, issue.2, pp.20-30, 2001.
DOI : 10.1109/54.914596

F. Gruian, Hard real-time scheduling for low-energy using stochastic data and DVS processors, Proceedings of the 2001 international symposium on Low power electronics and design , ISLPED '01, 2001.
DOI : 10.1145/383082.383092

URL : http://lup.lub.lu.se/search/ws/files/6152216/1515080.pdf

Y. Shin, K. Choi, and T. Sakurai, Power Optimization of Real-Time Embedded Systems on Variable Speed Processors, IEEE/ACM International Conference on Computer- Aided Design (ICCAD), 2000.

D. Zhu, R. Melhem, and B. Childers, Scheduling with dynamic voltage/speed adjustment using slack reclamation in multi-processor real-time systems, Proceedings 22nd IEEE Real-Time Systems Symposium (RTSS 2001) (Cat. No.01PR1420), pp.686-700, 2003.
DOI : 10.1109/REAL.2001.990599

H. Aydin, R. Melhem, D. Mosse, and P. M. Alvarez, Dynamic and aggressive scheduling techniques for power-aware real-time systems, Proceedings 22nd IEEE Real-Time Systems Symposium (RTSS 2001) (Cat. No.01PR1420), 2001.
DOI : 10.1109/REAL.2001.990600

URL : http://www.cs.pitt.edu/PARTS/papers/RTSS01_aydin.ps

W. Kim, J. Kim, and S. L. Min, A Dynamic Voltage Scaling Algorithm for Dynamic- Priority Hard Real-Time Systems Using Slack Time Analysis, IEEE/ACM Design, Automation and Test in Europe (DATE), 2002.

L. Charest, E. M. Aboulhamid, and A. Tsikhanovich, Designing with SystemC : Multiparadigm modeling and simulation performance evaluation, International HDL Conference, 2002.

A. Fin, F. Fummi, M. Martignano, and M. Signoretto, SystemC, Proceedings of the ninth international symposium on Hardware/software codesign , CODES '01, 2001.
DOI : 10.1145/371636.371657

D. Seal, ARM Architecture Reference Manual. Number 0201737191, 2000.

L. Charest, E. M. Aboulhamid, C. Pilkington, and P. Paulin, SystemC Performance Evaluation Using A Pipelined DLX Multiprocessor, IEEE/ACM Design Automation and Test in Europe (DATE) Designers' Forum, 2002.

D. G. Pérez, G. Mouchard, and O. Temam, A Fast SystemC Engine, IEEE/ACM Design, Automation and Test in Europe (DATE), 2004.

T. Wiegand, G. J. Sullivan, G. Bjontegaard, and A. Luthra, Overview of the H, avc video coding standard. IEEE Transactions on Circuits and Systems for Video Technology, pp.560-576, 2003.

C. Liu and T. Tsai, SoC Platform Based Design of MPEG-2/4 AAC Audio Decoder, IEEE International Symposium on Circuits and Systems (ISCAS), 2005.

J. Leroy, Validation d'un noyau temps-réel matériel pour les systèmes multiprocesseurs hétérogènes embarqués, 2006.

F. Blanc, Etude d'un nouveau concept de calculateur reconfigurable : architecture et outils, 2002.

N. Ventroux, F. Blanc, and D. Lavenier, A Low Complex Scheduling Algorithm for Multi-Processor System-on-Chip, 23 rd IASTED International Conference on Parallel and Distributed Computing and Networks (PDCN'05), 2005.

N. Ventroux, S. Chevobbe, F. Blanc, and T. Collette, An Auto-adaptative Reconfigurable Architecture for the Control, th Asia-Pacific Computer Systems Architecture Conference Springer-Verlag LNCS 3189, pp.72-87, 2004.
DOI : 10.1007/978-3-540-30102-8_7

URL : https://hal.archives-ouvertes.fr/cea-01789447

S. Chevobbe, N. Ventroux, F. Blanc, and T. Collette, RAMPASS: Reconfigurable and Advanced Multi-processing Architecture for Future Silicon Systems, 3 rd International Workshop on Computer Systems, Architectures, Modeling and Simulation (SAMOS'03), Springer-Verlag LNCS 3133, pp.20-29, 2003.
DOI : 10.1007/978-3-540-27776-7_3

N. Ventroux, J. F. Nezan, M. Raulet, and O. Déforges, Rapid prototyping for an optimized MPEG-4 decoder implementation over a parallel heterogeneous architecture, IEEE International Conference on Accoustics, Speech and Signal Processing, 2003.
URL : https://hal.archives-ouvertes.fr/hal-00125123

R. David, V. David, N. Ventroux, and T. Collette, Procédé et système de calcul intensif multitâche et multiflot en temps réel, 2005.

N. Ventroux, S. Chevobbe, F. Blanc, and T. Collette, Procédé d'ordonnancement de traitement de tâches et dispositif pour mettre en oeuvre le procédé, 2004.

N. Ventroux, RAMPASS : état d'avancement (mise à jour) Rapport interne, CEA LIST, 2004.

N. Ventroux, RAMPASS : état d'avancement. Rapport interne, CEA LIST, 2003.