S. Ha and J. Teich, Handbook of Hardware/Software Codesign, 2017.

. James-a-rowson, Hardware/Software Co-simulation, 31st Design Automation Conference, pp.439-440, 1994.

N. Gupta and C. Harakchand, Embracing the FPGA Challenge for Processor Design Verification, 15th International Microprocessor Test and Verification Workshop, pp.39-43, 2014.

J. Aynsley, OSCI TLM-2.0 Language Reference Manual, Open SystemC Initiative, vol.24, 2009.

S. Cordibella, F. Fummi, G. Perbellini, and D. Quaglia, A HW/SW Co-simulation Framework for the Verification of Multi-CPU Systems, High Level Design Validation and Test Workshop, pp.125-131, 2008.

M. Monton, A. Portero, M. Moreno, B. Martinez, and J. Carrabina, Mixed SW/SystemC SoC Emulation Framework, IEEE International Symposium on, pp.2338-2341, 2007.

A. Charif, G. Busnot, and R. Mameesh, Fast Virtual Prototyping for Embedded Computing Systems Design and Exploration, 11th Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, 2019.
URL : https://hal.archives-ouvertes.fr/hal-02023805

Y. Nakamura, K. Hosokawa, I. Kuroda, K. Yoshikawa, and T. Yoshimura, A Fast Hardware/Software Co-verification Method for System-ona-Chip by using a C/C++ Simulator and FPGA Emulator with Shared Register Communication, Proceedings of the 41st annual Design Automation Conference, pp.299-304, 2004.

N. Ohba and K. Takano, An SoC Design Methodology using FPGAs and Embedded Microprocessors, Proceedings of the 41st annual Design Automation Conference, pp.747-752, 2004.

F. Intel and . Inc, Quartus SignalTap II Logic Analyzer

S. Yang, H. Shim, W. Yang, and C. Kyung, A new RTL Debugging Methodology in FPGA-based Verification Platform, Proceedings of 2004 IEEE Asia-Pacific Conference on Advanced System Integrated Circuits, pp.180-183, 2004.

G. Patrigeon, P. Benoit, and L. Torres, FPGA-based platform for fast accurate evaluation of Ultra Low Power SoC, 28th International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS), pp.123-128, 2018.
URL : https://hal.archives-ouvertes.fr/lirmm-01890567

D. Diamantopoulos, E. Sotiriou-xanthopoulos, K. Siozios, G. Economakos, and D. Soudris, Plug&Chip: A Framework for Supporting Rapid Prototyping of 3D Hybrid Virtual SoCs, ACM Transactions on Embedded Computing Systems (TECS), vol.13, issue.5s, p.168, 2014.

Z. Zheng, X. Wang, Z. Guo, and G. Zhang, An automatic software/hardware verification platform prototype for reconfigurable audio algorithm in media soc, IEEE 11th International Conference on ASIC (ASICON), pp.1-4, 2015.

A. Carbon, J. Philippe, O. Bichler, R. Schmit, B. Tain et al., PNeuro: A scalable energy-efficient programmable hardware accelerator for neural networks, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.1039-1044, 2018.
URL : https://hal.archives-ouvertes.fr/hal-01949772

, Mentor Graphics Inc. Questa Advanced Simulator

X. Cheng, Y. B. Aw-ruan, P. Liao, H. C. Li, and . Huang, A Run-Time RTL Debugging Methodology for FPGA-based Co-simulation, 2010 International Conference on Communications, Circuits and Systems (ICCCAS), pp.891-895, 2010.

E. Roland, . Wunderlich, F. Thomas, B. Wenisch, J. C. Falsafi et al., Statistical Sampling of Microarchitecture Simulation, ACM Transactions on Modeling and Computer Simulation (TOMACS), vol.16, issue.3, pp.197-224, 2006.