, Capi snap framework hardware and software

, Sierra's system details

, Summit's specifications and features

, ). -TreadMarks : Shared memory computing on networks of workstations, IEEE Computer, vol.29, pp.18-28, 1996.

, Dsm-pm2 : A portable implementation platform for multithreaded dsm consistency protocols, Proceedings of the 6th International Workshop on High-Level Parallel Programming Models and Supportive Environments, pp.55-70, 2001.

. Antoniu, Bougé (L.) et Jan (M.). -JuxMem : an adaptive supportive platform for datasharing on the grid. Scalable Computing : Practice and Experience, vol.6, pp.45-55, 2005.

, Namyst (R.) et Wacrenier (P.-A.). -StarPU : A Unified Platform for Task Scheduling on Heterogeneous Multicore Architectures. Concurrency and Computation : Practice and Experience, Augonnet, vol.23, pp.187-198, 2009.

, ). -The Midway distributed shared memory system, Proceedings of the 38th IEEE International Computer Conference, pp.528-537, 1993.

(. R. Bisiani, et Forin (A.). -Multilanguage parallel programming of heterogeneous machines, IEEE Trans. Comput, vol.37, pp.930-945, 1988.

, ) et Zwaenepoel (W.). -Implementation and performance of Munin, 13th ACM Symposium on Operating Systems Principles, pp.152-164, 1991.

(. Choi, Reinman (G.) et Wei (P.). -A quantitative analysis on microarchitectures of modern cpu-fpga platforms, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC), pp.1-6, 2016.

(. Choi, Reinman (G.) et Wei (P.). -In-depth analysis on microarchitectures of modern heterogeneous cpu-fpga platforms, Cong (J.), Fang (Z.), Hao (Y.), vol.12, p.1, 2019.

(. L. Cudennec, Software-Distributed Shared Memory over heterogeneous micro-server architecture, Euro-Par 2017 : Parallel Processing Workshops, 2017.
URL : https://hal.archives-ouvertes.fr/hal-01679052

(. L. Cudennec, Merging the Publish-Subscribe Pattern with the Shared Memory Paradigm, Euro-Par 2018 : Parallel Processing Workshops, 2018.
URL : https://hal.archives-ouvertes.fr/cea-01896787

, ). -Parallel Computer Architecture : A Hardware/Software Approach, 1998.

(. F. Escobar, Chang (X.) et Valderrama (C.). -Suitability analysis of fpgas for heterogeneous platforms in hpc, IEEE Transactions on Parallel and Distributed Systems, vol.27, pp.600-612, 2016.

(. J. Fowers, Cooke (P.) et Stitt (G.). -A performance and energy comparison of fpgas, gpus, and multicores for sliding-window applications, Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, pp.47-56, 2012.

, ). -An asymmetric distributed shared memory model for heterogeneous parallel systems, SIGCompas'2019 : Parallélisme / Architecture / Système LIUPPA -IUT de, vol.45, pp.347-358, 2010.

(. R. Griessl, Kiesel (T.) et Christmann (W.). -A scalable server architecture for next-generation heterogeneous compute clusters, 12th IEEE International Conference on Embedded and Ubiquitous Computing, pp.146-153, 2014.

, ). -RSVM : A region-based software virtual memory for GPU, Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques, pp.269-278, 2013.

(. K. Li, -IVY : a shared virtual memory system for parallel computing, Proc. 1988 Intl. Conf. on Parallel Processing, pp.94-101, 1988.

, ). -Latencytolerant software distributed shared memory, 2015 USENIX Annual Technical Conference, pp.291-305, 2015.

, Moise (D.) et Carpen-Amarie (A.). -Blobseer : Next-generation data management for large scale infrastructures, J. Parallel Distrib. Comput, vol.71, pp.169-184, 2011.

, ). -S-dsm for heterogeneous machine architectures, 2000.

, ). -Heterogeneous parallel programming in jade, Proceedings Supercomputing '92, pp.245-256, 1992.

, ). -Implementing openshmem for the adapteva epiphany risc array processor, International Conference on Computational Science, vol.80, pp.2353-2356, 2016.

, Opencapi technology, 2018.

, ). -Ibm power9 opens up a new era of acceleration enablement : Opencapi, IBM Journal of Research and Development, vol.62, pp.1-8, 2018.

, ). -Lightweight virtual memory support for zerocopy sharing of pointer-rich data structures in heterogeneous embedded socs, IEEE Transactions on Parallel and Distributed Systems, vol.28, pp.1947-1959, 2017.

, ). -Exploring shared virtual memory for fpga accelerators with a configurable iommu, IEEE Transactions on Computers, vol.68, pp.510-525, 2019.

, ). -Heterogeneous distributed shared memory, IEEE Transactions on Parallel and Distributed Systems, vol.3, pp.540-554, 1992.