B. Davari, R. H. Dennard, and G. G. Shahidi, CMOS scaling for high performance and low power-the next ten years, Proc. IEEE, vol.83, pp.595-606, 1995.

T. Skotnicki, J. A. Hutchby, T. King, H. S. Wong, and F. Boeuf, The end of CMOS scaling: Toward the introduction of new materials and structural changes to improve MOSFET performance, IEEE Circuits Devices Mag, vol.21, issue.1, pp.16-26, 2005.

A. Gill, C. Madhu, and P. Kaur, Investigation of short channel effects in Bulk MOSFET and SOI FinFET at 20 nm node technology, Proc. Annu. IEEE India Conf. (INDICON), pp.1-4, 2015.

S. K. Saha, Modeling process variability in scaled CMOS technology, IEEE Design Test Comput, vol.27, issue.2, pp.8-16, 2010.

Y. Lin, Leakage scaling in deep submicron CMOS for SoC, IEEE Trans. Electron Devices, vol.49, issue.6, pp.1034-1041, 2002.

D. Maji, A junction leakage mechanism and its effects on advance SRAM failure, Proc. IEEE Int. Rel. Phys. Symp. (IRPS), 2013.

K. Athikulwongse, D. H. Kim, M. Jung, and S. K. Lim, Blocklevel designs of die-to-wafer bonded 3D ICs and their design quality tradeoffs, Proc. Design Autom. Conf. (ASP-DAC), pp.687-692, 2013.

K. Puttaswamy and G. H. Loh, 3D-integrated SRAM components for high-performance microprocessors, IEEE Trans. Comput, vol.58, issue.10, pp.1369-1381, 2009.

L. Brunet, First demonstration of a CMOS over CMOS 3D VLSI CoolCube integration on 300 mm wafers, Proc. IEEE Symp. VLSI Technol. (VLSIT), pp.1-2, 2016.

P. Batude, 3DVLSI with CoolCube process: An alternative path to scaling, Proc. Symp. VLSI Technol. (VLSIT), pp.48-49, 2015.
URL : https://hal.archives-ouvertes.fr/hal-02049760

F. Clermidy, O. Billoint, H. Sarhan, and S. Thuries, Technology scaling: The CoolCube paradigm, Proc. IEEE SOI-3D-Subthreshold Microelectron. Technol. Unified Conf. (SS), pp.1-4, 2015.

J. Noel, O. Thomas, C. Fenouillet-beranger, M. Jaud, and A. Amara, Robust multi-VT 4 T SRAM cell in 45 nm thin BOx fullydepleted SOI technology with ground plane, Proc. IEEE Int. Conf. IC Design Technol, pp.191-194, 2009.

A. Shafaei and M. Pedram, Energy-efficient cache memories using a dual-Vt 4 T SRAM cell with read-assist techniques, Proc. Design, Autom. Test Eur. Conf. Exhibit. (DATE), pp.457-462, 2016.

C. Lage, J. D. Hayden, and C. Subramanian, Advanced SRAM technology-the race between 4 T and 6 T cells, Proc. Int. Electron Devices Meeting, pp.271-274, 1996.

J. Hartmann, FD-SOI technology development and key devices characteristics for fast, power efficient, low voltage SoCs, Proc. IEEE Compound Semiconductor Integr. Circuit Symp. (CSICS), pp.1-4, 2014.

M. Brocard, High density SRAM bitcell architecture in 3D sequential CoolCube 14 nm technology, Proc. IEEE SOI-3D-Subthreshold Microelectron. Technol. Unified Conf. (SS), pp.1-3, 2016.

D. Yagain, A. Parakh, A. Kedia, and G. K. Gupta, Design and implementation of high speed, low area multiported loadless 4 T memory cell, Proc. 4th Int. Conf. Emerg. Trends Eng. Technol, pp.268-273, 2011.

C. Liu and S. K. Lim, Ultra-high density 3D SRAM cell designs for monolithic 3D integration, Proc. IEEE Int. Interconnect Technol. Conf, pp.1-3, 2012.

O. Thomas, M. Vinet, O. Rozeau, P. Batude, and A. Valentian, Compact 6 T SRAM cell with robust read/write stabilizing design in 45 nm Monolithic 3D IC technology, Proc. IEEE Int. Conf. IC Design Technol, pp.195-198, 2009.

J. Noel, O. Thomas, C. Fenouillet-beranger, M. Jaud, P. Scheiblin et al., A simple and efficient concept for setting up multi-VT devices in thin BOx fully-depleted SOI technology, Proc. Eur. Solid State Device Res, pp.137-140, 2009.

V. Asthana, M. Kar, J. Jimenez, J. Noel, S. Haendler et al., Circuit optimization of 4 T, 6 T, 8 T, 10 T SRAM bitcells in 28 nm UTBB FD-SOI technology using back-gate bias control, Proc. Eur. Solid State Device Res. Conf. (ESSDERC), pp.415-418, 2013.

P. Batude, 3D monolithic integration, Proc. IEEE Int. Symp. Circuits Syst. (ISCAS), pp.2233-2236, 2011.

B. Ebrahimi, A. Afzali-kusha, and N. Sehatbakhsh, Robust polysilicon gate FinFET SRAM design using dynamic back-gate bias, Proc. Design Technol. Integr. Syst. Nanosc. Era (DTIS), pp.171-172, 2013.

Q. Liu, Impact of back bias on ultra-thin body and BOX (UTBB) devices, Proc. Symp. VLSI Technol. (VLSIT), pp.160-161, 2011.

J. Wu, A large ? V TH /VDD tolerant zigzag 8 T SRAM with area-efficient decoupled differential sensing and fast write-back scheme, IEEE J. Solid-State Circuits, vol.46, issue.4, pp.815-827, 2011.

Y. Tao and W. Hu, Design of sense amplifier in the high speed SRAM, Proc. Cyber-Enabled Distrib, pp.384-387, 2015.

V. P. Hu, M. Fan, P. Su, and C. Chuang, Analysis of GeOI FinFET 6 T SRAM cells with variation-tolerant WLUD read-assist and TVC write-assist, IEEE Trans. Electron Devices, vol.62, issue.6, pp.1710-1715, 2015.

A. Kumar, G. S. Visweswaran, V. Kumar, and K. Saha, A 0.5 V VMIN 6 T SRAM in 28 nm UTBB FDSOI technology using compensated WLUD scheme with zero performance loss, Proc. 29th Int. Conf. VLSI Design 15th Int. Conf. Embedded Syst. (VLSID), pp.191-195, 2016.
URL : https://hal.archives-ouvertes.fr/in2p3-00018209